‘The socket becomes the motherboard’ – how a Samsung-backed startup aims to change computing forever by integrating everything into an elegant package to tackle the AI ​​beast once and for all

Many system designers are exploring chiplet-based SiPs that go beyond the limitations and costs of massive single-die implementations, but rely heavily on silicon interposers as substrates for mounting and interconnecting the dies.

Silicon interposers deliver a higher data rate than organic substrates, but are not without disadvantages. They are expensive, proprietary and limit the number of chiplets that can be placed on a single substrate due to size limitations, while increasing TCO.

Many CPUs are multi-die assemblies using organic substrates (implemented using chiplet interconnect standards such as UCIe and the Open Compute Project’s Bunch of Wires (BoW), but they cannot compete with silicon in performance -interposers.

Build a better ‘Blackwell’

Now Eliyan has come up with what he says is a viable solution that offers the performance benefits of silicon interposers without their limitations. The solution to this dilemma, the company says, is to look at the dies themselves – “at the tiny electronic circuits that power the interconnect lines”. Most current chiplet interconnect standards use a Physical Layer (PHY) IP block, but Eliyan’s NuLink PHY reportedly achieves the same maximum performance levels on organic substrates that alternative PHYs can only achieve on silicon interposers.

The company says NuLink PHY “enables systems with higher performance (more memory) and lower TCO (no interposer),” with results that include up to 4x the bandwidth, 4x the energy efficiency, up to 4x the SiP size, and up to 10x the the AI ​​performance.

Eliyan’s co-founder and CEO Ramin Farjadrad recently spoke with The next platform on how NuLink PHY can be used to “build better, cheaper, and more powerful calculators than is possible with current silicon interposer-based packaging techniques.”

The article, entitled “How to build a better ‘Blackwell’ GPU than Nvidia did”, explains how its technology can be used to significantly improve Nvidia’s superchip design.

It’s a fascinating read and provides clues as to what might be possible in the future. If The next platform’s Timothy Prickett Morgan summarizes:Any memory, packaged optical system, PCI-Express or other controller can be connected to any XPU via NuLink. At this point the socket has truly become the motherboard.”

There is certainly a lot of interest in Eliyan’s NuLink PHY. The company recently closed a $60 million Series B financing round co-led by Samsung Catalyst Fund and Tiger Global Management. “This investment reflects confidence in our approach to integrating multi-chip architectures that address the critical challenges of high costs, low yields, power consumption, manufacturing complexity and size constraints,” Farjadrad said after announcing the funding.

More from Ny Breaking

Related Post